{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"icestudio","owner":"FPGAwars","isFork":false,"description":"❄️ Visual editor for open FPGA boards","allTopics":["javascript","editor","fpga","ide","blocks","verilog","icestorm","lattice","icestudio"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":4,"issueCount":100,"starsCount":1668,"forksCount":242,"license":"GNU General Public License v2.0","participation":[0,0,0,5,0,0,0,2,0,0,0,0,1,0,0,0,9,28,2,3,0,3,8,0,0,0,0,0,11,0,0,0,1,0,19,15,0,0,0,0,0,0,2,3,1,4,7,21,7,14,22,6],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-01T09:26:39.510Z"}},{"type":"Public","name":"iceSynth-collection","owner":"FPGAwars","isFork":false,"description":"Icestudio blocks and examples for audio synthesis","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-30T13:15:12.374Z"}},{"type":"Public","name":"Icestudio-ArithmeticBlocks","owner":"FPGAwars","isFork":true,"description":"Icestudio .ice blocks for FPGA signed and unsigned integer operations, 16, 24 and 32 bits, + - * / sqrt min max compare etc. ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-30T12:31:37.839Z"}},{"type":"Public","name":"iceMeasure","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for measuring cycles and time in your circuits, very easily","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-29T14:56:43.618Z"}},{"type":"Public","name":"iceMem","owner":"FPGAwars","isFork":false,"description":"Icestudio Collection for working with Memories","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":1,"starsCount":10,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-29T14:16:00.976Z"}},{"type":"Public","name":"iceSerial","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for Serial Asynchronous communications","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,3,0,0,1,0,11,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-29T11:14:52.185Z"}},{"type":"Public","name":"iceLeds","owner":"FPGAwars","isFork":false,"description":"iceLeds collection: Using LEDs","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T18:36:21.459Z"}},{"type":"Public","name":"icePLL","owner":"FPGAwars","isFork":false,"description":"PLL collection for IceStudio","allTopics":["fpga","icestudio","fpgawars","pll"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":1,"starsCount":2,"forksCount":2,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T18:09:00.235Z"}},{"type":"Public","name":"iceSignals","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for 1-bit signal managment (edge detection, pulse generation...)","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T17:57:54.202Z"}},{"type":"Public","name":"iceCounter","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for counters","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T17:35:56.494Z"}},{"type":"Public","name":"iceArith","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for Arithmetics","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":2,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T08:58:04.169Z"}},{"type":"Public","name":"iceComp","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with comparators","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T18:59:23.287Z"}},{"type":"Public","name":"iceBoards","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with blocks and examples for the diferent FPGA boards","allTopics":["collection","fpga","examples","electronics","icestudio","boards","fpgawars"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":1,"starsCount":0,"forksCount":1,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T18:43:08.391Z"}},{"type":"Public","name":"iceSRegs","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with Shift registers","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T14:05:28.399Z"}},{"type":"Public","name":"iceCoders","owner":"FPGAwars","isFork":false,"description":" Icestudio collection with encoders and decoders","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T13:48:15.247Z"}},{"type":"Public","name":"iceCoders-TB","owner":"FPGAwars","isFork":false,"description":"Testbenches for the components of the iceCcoders collection ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T13:38:09.593Z"}},{"type":"Public","name":"iceMux","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with muxes and demuxes","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T12:24:07.108Z"}},{"type":"Public","name":"iceMux-TB","owner":"FPGAwars","isFork":false,"description":"Testbenches for the components of the iceMux collection ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T12:09:47.784Z"}},{"type":"Public","name":"iceGates","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with logic gates","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T11:03:35.108Z"}},{"type":"Public","name":"iceGates-TB","owner":"FPGAwars","isFork":false,"description":"Testbenches for the components of the iceGates collection","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T10:50:16.255Z"}},{"type":"Public","name":"iceIO","owner":"FPGAwars","isFork":false,"description":"Blocks for managing FPGA IO-pins","allTopics":["collection","icestudio","pin","fpgawars","fpgas","input-output"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":3,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T10:27:21.139Z"}},{"type":"Public","name":"iceIO-TB","owner":"FPGAwars","isFork":false,"description":"Testbenches for the iceIO icestudio collection ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T10:16:35.881Z"}},{"type":"Public","name":"iceWires","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for managing wires/buses","allTopics":["collection","fpga","electronics","icestudio","fpgawars"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T09:37:11.336Z"}},{"type":"Public","name":"icewires-TB","owner":"FPGAwars","isFork":false,"description":"Testbenches for the icewires icestudio collection ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T08:08:11.193Z"}},{"type":"Public","name":"iceK","owner":"FPGAwars","isFork":false,"description":"iceK Collection: Constants for icestudio","allTopics":["fpga","electronics","opensource-fpga","icestudio-collection","icestudio"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":4,"license":"GNU Lesser General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T06:52:59.220Z"}},{"type":"Public","name":"iceK-TB","owner":"FPGAwars","isFork":false,"description":"Testbenches for the iceK icestudio collection ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-27T06:28:32.798Z"}},{"type":"Public","name":"iceFlash","owner":"FPGAwars","isFork":false,"description":" Icestudio collection with blocks for accesing flash serial memories","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-24T14:37:29.212Z"}},{"type":"Public","name":"iceBus","owner":"FPGAwars","isFork":false,"description":" Icestudio Collection for accesing and sharing simple buses","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-24T14:33:12.683Z"}},{"type":"Public","name":"iceHeart","owner":"FPGAwars","isFork":false,"description":"Icestudio Collection for timing signal generation (hearts) ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-22T19:22:25.540Z"}},{"type":"Public","name":"iceLCD","owner":"FPGAwars","isFork":false,"description":"Components and controllers for LCDs. Based on the powerful iceCrystal collection by Carlos venegas (cavearr)","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-21T10:45:04.792Z"}}],"repositoryCount":45,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}