Skip to content
#

cadence-virtuoso

Here are 51 public repositories matching this topic...

Gain an understanding of the fundamentals of Very Large-Scale Integration (VLSI), including how the theories and concepts can be applied in the design of simple logic circuits and in the physical implementation of a simplified microprocessor

  • Updated Nov 24, 2023
  • HTML

Implementation of a simple SIMD processor in Verilog, core of which is a 16-bit SIMD ALU. 2's compliment calculations are implemented in this ALU. The ALU operation will take two clocks. The first clock cycle will be used to load values into the registers. The second will be for performing the operations. 6-bit opcodes are used to select the fun…

  • Updated Jul 17, 2022
  • Verilog

Improve this page

Add a description, image, and links to the cadence-virtuoso topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with the cadence-virtuoso topic, visit your repo's landing page and select "manage topics."

Learn more